Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге

в 6:43, , рубрики: asic, fpga, imagination technologies, Nanometer ASIC, высокая производительность, микроэлектроника, программирование микроконтроллеров, Профессиональная литература, РОСНАНО, учебники, харрис & харрис

Год назад вышло бесплатное электронное издание на русском языке всеохватного вводного учебника Дэвида Харриса и Сары Харрис «Цифровая схемотехника и архитектура компьютера». Книга попала в струю, ее скачивания завалили британский сайт Imagination Technologies (дважды — 1, 2), после чего учебник стали использовать преподаватели московских МФТИ, МГТУ, питерского ИТМО, киевского КНУ, КПИ и других вузов. Интересной особенностью учебника является то, что его перевод на русский сделала группа энтузиастов: преподавателей российских и украинских университетов, русских сотрудников компаний в Silicon Valley (AMD, Synopsys, Apple, NVidia ...) и российских компаний (НИИСИ, МЦСТ, Модуль ...).

При этом, электронное издание Харрис-энд-Харрис сформатировано для планшета, и уже после первых скачиваний посыпались емейлы, когда же учебник будет и на бумаге. И вот час настал — Учебник Дэвида Харриса и Сары Харрис «Цифровая схемотехника и архитектура компьютера» можно заказать на бумаге (выходит в новогоднюю ночь). В этом посте я покажу, чем этот учебник отличается от других. Бонус: фотки участников и участниц проекта!

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 1

Есть много учебников, которые хорошо вводят в цифровую логику на уровне триггеров и мультиплексоров, или в программирование готовых микроконтроллеров на ассемблере, или показывают красивые диаграммы процессорных конвейеров, или обучают синтаксису Verilog или VHDL. Но если учить скажем микроархитектуре без HDL, или если например пропускать уровни между триггером и программированием микроконтроллера, то получатся студенты, которые могут сдать экзамен и спорить умными словами в интернете, но ничего не могут сделать практически.

Учебник H&H решает эту проблему:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 2

Например H&H шаг за шагом показывает, как построить простой микропроцессор:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 3

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 4

Причем построить микропроцессор не абстрактно, а с его синтезируемым представлением на языках описания аппаратуры Verilog и VHDL.

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 5

Это представление на уровне регистровых передач (Register Transfer Level — RTL) можно превратить в микросхему на фабрике, или использовать для конфигурации недорогой студенческой платы с ПЛИС/FPGA:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 6

Далее в учебнике обсуждается, как превратить такой простейший процессор в конвейерный:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 7

И наконец учебник показывает куда копать дальше, например в сторону суперскалярных процессоров с внеочередным выполнением команд и переименованием регистров:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 8

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 9

Если теперь перейти с уровня микроархитектуры на более низкий уровень, основ цифровой логики, то на нем H&H четко формулирует общие определения, частные случаи / исключения и приводит полезные для понимания упражнения:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 10

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 11

Кроме логики и тайминга книжка иногда говорит и проблемах, привязанных к физике и вероятности, причем по делу и без растекания мысью по древу:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 12

Краткость и четкость сохраняется при обсуждении правил писания кода на HDL на уровне регистровых передач:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 13

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 14

Помимо обсуждения того, как конструировать цифровую логику, арифметические устройства и микропроцессорные ядра, в книге есть и глава про использование готовых микроконтроллерных чипов и присоединение к ним разнообразных устройств, вплоть до моторов. Иными словами, книга охватывает все от двоичных чисел и электронов до интерфейса к цупальцам человекоподобных роботов:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 15

В учебнике есть даже пара слов про экономику бизнеса, использовать ли фиксированные микросхемы ASIC или гибкие FPGA, в зависимости от цикла жизни продукта:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 16
Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 17
Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 18

Теперь несколько лиц переводчиков книги. Переводчиков было больше 40, их полный список есть в книге и некоторые фотографии были в предыдущих постах (1, 2), но вот фотографии из последних встреч в Москве:

Юрий Панчул, инженер в Imagination Technologies (глава 7.8 + редактирование и администрирование)
и Илья Кудрявцев, декан Самарского национального исследовательского университета имени академика С.П. Королева (редактирование):

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 19

Студентки Ильи Кудрявцева: Анна Степашкина (главы 4.2 и 8.8) и Екатерина Степанова (8.4, 8.8.2-8.8.3, приложение А):

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 20

Слева доцент Киевского Национального Университета (КНУ) Александр Барабанов (перевод 3.5-до конца, редактирование 5.4-до конца, упражнения 2, 3.1-3.4, 5, приложение A.1-7, также ключевой переводчик слайдов для преподавателей). Справа Евгений Короткий, руководитель лаборатории Лампа КПИ Киевского Политехнического Института, ключевой переводчик слайдов для преподавателей:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 21

А вот Алексей Фрунзе, Imagination Technologies, специалист по Java virtual machine, бывший работник Микрософта и основной редактор 6-й главы:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 22

А вот слева — Павел Кустарев, ключевой редактор 4-й главы, из питерского Университета ИТМО:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 23

Третий справа — выпускник МИФИ Иван Графский, у которого возникла идея коллективного перевода:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 24

В форматировании книги помогало РОСНАНО, точнее eNANO (дочка РОСНАНО по образовательным программам).

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 25

eNano также помошло с одним из сиквелов к переводу Харрис & Харрис — также выходящему прямо сейчас электронному курсу «Специализированные интегральные схемы наноуровня» Чарльза Данчека (он есть на трех предыдущих фотографиях).

Слева Юлия Осаулец, организатор образовательных проектов РОСНАНО:

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге - 26

И девушка Ирина:

Итак бумажный Харрис & Харрис — http://dmkpress.com/catalog/electronics/circuit_design/978-5-97060-450-2

И видео Чарльз Данчек / Nanometer ASIC — http://edunano.ru/course_page/6362029318377586617

С Новым Годом!

Автор: YuriPanchul

Источник


* - обязательные к заполнению поля


https://ajax.googleapis.com/ajax/libs/jquery/3.4.1/jquery.min.js